課程設(shè)計---用vhdl語言來實現(xiàn)fsk的調(diào)制解調(diào)系統(tǒng)_第1頁
已閱讀1頁,還剩21頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  摘要</b></p><p>  數(shù)字調(diào)制解調(diào)技術(shù)是現(xiàn)代通信的一個重要的內(nèi)容,在數(shù)字通信系統(tǒng)中,由于基帶數(shù)字信號包含了豐富的低頻部分,如果要遠(yuǎn)距離傳輸,特別是在有限帶寬的高頻信道無線或光纖信道傳輸時,必須對數(shù)字信號進(jìn)行載波調(diào)制,使基帶信號的功率譜搬移到較高的載波頻率上,這就稱為數(shù)字調(diào)制(Digital Modulation) 。它可以分別對載波的幅度、頻率、相位

2、進(jìn)行調(diào)制,于是有ASK(移幅鍵控) 、FSK(移頻鍵控) 、PSK(移相鍵控) 等調(diào)制方式。數(shù)字調(diào)制同時也是時分復(fù)用的基本技術(shù),其中FSK 是利用數(shù)字信號去調(diào)制載波的頻率,是信息傳輸較早的一種傳輸方式,(2FSK) 在通信系統(tǒng)中應(yīng)用廣泛。</p><p>  了解2FSK調(diào)制/解調(diào)的基本理論,分析設(shè)計一個完整的2FSK發(fā)送與接收系統(tǒng),并對系統(tǒng)進(jìn)行仿真分析與設(shè)計,以此提高自己的分析材料、解決問題和設(shè)計產(chǎn)品的能力。&

3、lt;/p><p>  本次課程設(shè)計就是在EDA實驗板上用VHDL語言來實現(xiàn)FSK的調(diào)制解調(diào)系統(tǒng)。采用鍵控法對載波進(jìn)行調(diào)制,用過零檢測法對調(diào)制信號進(jìn)行解調(diào)。用4級移位寄存器產(chǎn)生偽隨機(jī)序列作為調(diào)制信號。仿真成功后下載到實驗板上,通過示波器分別觀察調(diào)制信號和已調(diào)波;調(diào)制信號和解調(diào)信號,與波形仿真結(jié)果相同,但由于噪聲的影響,使得示波器的波形有延遲。</p><p>  關(guān)鍵字:2FSK,數(shù)字調(diào)制,V

4、HDL語言,</p><p><b>  目錄</b></p><p><b>  目錄4</b></p><p><b>  前言1</b></p><p>  第一章設(shè)計內(nèi)容及要求2</p><p>  1.1 設(shè)計內(nèi)容2</p>

5、;<p><b>  2.1設(shè)計要求2</b></p><p>  第二章 系統(tǒng)組成及工作原理3</p><p>  2.1 2FSK的調(diào)制方法與調(diào)制原理3</p><p>  2.1.1模擬調(diào)制法3</p><p>  2.1.1 鍵控法3</p><p>  2.1.3

6、 2FSK調(diào)制原理4</p><p>  2.2 FSK的解調(diào)方法及原理5</p><p>  2.2.1 非相干解調(diào)法6</p><p>  第三章 方案的設(shè)計及選擇7</p><p>  3.1 設(shè)計的幾種2FSK數(shù)字調(diào)制解調(diào)方案7</p><p>  3.2 方案的比較與選擇7</p>

7、<p>  第四章 基于FPGA的2FSK調(diào)制解調(diào)器的設(shè)計8</p><p>  4.1 FSK調(diào)制與解調(diào)程序流程圖8</p><p>  4.1.1 FSK調(diào)制程序流程圖8</p><p>  4.1.2 FSK解調(diào)程序流程圖9</p><p>  4.2 FSK調(diào)制VHDL程序仿真圖及分析10</p>&

8、lt;p>  4.3 FSK解調(diào)VHDL程序仿真圖及分析11</p><p>  4.4外圍硬件電路13</p><p>  第五章 2FSK調(diào)制解調(diào)的系統(tǒng)調(diào)試14</p><p>  5.2 調(diào)試過程及分析14</p><p>  第六章 結(jié)論15</p><p><b>  參考

9、文獻(xiàn)16</b></p><p>  附錄一 解調(diào)調(diào)制程序17</p><p><b>  前言</b></p><p>  調(diào)制解調(diào)技術(shù)在通信系統(tǒng)中占據(jù)非常重要的地位,它的優(yōu)劣決定了通信系統(tǒng)的性能,是軟件無線電的關(guān)鍵所在。而2FSK調(diào)制解調(diào)技術(shù)不僅可以更加精確的處理信號相位,提高系統(tǒng)頻帶寬度,而且還可使用數(shù)字電路和軟件相結(jié)合的

10、方法,在數(shù)字基帶傳輸系統(tǒng)中應(yīng)用廣泛。二進(jìn)制頻移鍵控(2FSK)也在電力線載波通信系統(tǒng)中得到了廣泛應(yīng)用,還適用新型鐵路信號安全設(shè)備的研制開發(fā)。</p><p>  2FSK調(diào)制解調(diào)技術(shù)應(yīng)用廣泛,尤其是在數(shù)據(jù)率較低、數(shù)量比較小、短距離傳輸?shù)臒o線通信領(lǐng)域。目前,針對FSK信號在這些領(lǐng)域的應(yīng)用國內(nèi)外己經(jīng)有大量的研究成果。</p><p>  1、藍(lán)牙(Bluetooth)通信設(shè)備。藍(lán)牙(Bluet

11、ooth)是應(yīng)用FSK調(diào)制解調(diào)的一個重要領(lǐng)域之一。藍(lán)牙可替代短距離線纜,實現(xiàn)在移動電話、便攜式電腦和其他電子裝置間的無縫線連接。越來越多的旅館、郵局、高爾夫球場、飛機(jī)場、商場、會議中心和商業(yè)領(lǐng)域都在采用藍(lán)牙技術(shù)。</p><p>  2、醫(yī)學(xué)植入微電子器件。2FSK調(diào)制解調(diào)方式的另外一個前景廣闊的應(yīng)用領(lǐng)域是在醫(yī)學(xué)植入微電子器件領(lǐng)域?,F(xiàn)在,越來越多的病人已經(jīng)受益于可植入微電子器件的先進(jìn)技術(shù),尤其是在藥物或者物理手段

12、不起作用的疾病和身體缺陷治療方面。2002年第一個永久性微電子視網(wǎng)膜修復(fù)植入器件已經(jīng)在南加利福利亞大學(xué)獲得成功。</p><p>  3、便攜式消費電子低成本、短距離無線系統(tǒng)的快速發(fā)展,要求將盡可能多的功能集成到單一片中。使用單一低電源電壓的系統(tǒng),如手機(jī)、PDA、3G無線終端等大量的可攜設(shè)備,其較長工作周期、無持續(xù)供電電源等因素,也迫切需要進(jìn)行低功耗低電的設(shè)計。而數(shù)字FSK正適用于這些低電壓系統(tǒng),且更容易和其它模

13、擬部分一起被集成到單片芯片中。</p><p><b>  設(shè)計內(nèi)容及要求</b></p><p><b>  1.1 設(shè)計內(nèi)容</b></p><p>  運用所學(xué)知識完成某一數(shù)字通信系統(tǒng)的設(shè)計,其數(shù)字調(diào)制方式采用FSK調(diào)制方式。給出各個模塊的設(shè)計方案,及參數(shù)設(shè)計,特別是數(shù)字調(diào)制與數(shù)字解調(diào)模塊。</p>&

14、lt;p><b>  2.1設(shè)計要求</b></p><p>  1.使用多方法種給出多種方案。</p><p>  2.比較得出最合適方案。</p><p>  3.按最合適方案完成FSK的數(shù)字調(diào)制。</p><p>  4.總結(jié)出FSK數(shù)調(diào)制的意義和優(yōu)勢。</p><p>  第二章 系

15、統(tǒng)組成及工作原理</p><p>  如果用數(shù)字信號來鍵控載波的頻率,即信號的符號“0”對應(yīng)于載波頻率f1;符號“1”對應(yīng)于載波頻率f2,這種調(diào)制技術(shù)稱為二進(jìn)制頻移鍵控(2FSK)。</p><p>  2.1 2FSK的調(diào)制方法與調(diào)制原理</p><p>  2.1.1模擬調(diào)制法</p><p>  同模擬信號調(diào)制一樣,2FSK信號可以利用

16、一個矩形脈沖序列對一個載波進(jìn)行調(diào)頻而獲得,這正是頻率鍵控通信方式早期采用的實現(xiàn)方法,也是利用模擬調(diào)頻法實現(xiàn)數(shù)學(xué)調(diào)頻的方法。原理框圖如圖2.1所示。由調(diào)頻器產(chǎn)生的2FSK信號,在相鄰碼元之間的相位是連續(xù)的,如圖2.2所示。</p><p>  圖2.1 模擬調(diào)制法 圖2.2 相位連續(xù) </p><p><b>  2.1.1 鍵控法</b>

17、</p><p>  2FSK信號的另一種產(chǎn)生方法是鍵控法,它利用受矩形脈沖序列控制的開關(guān)電路對兩個不同的獨立頻率進(jìn)行選通,如圖2.3所示。這兩種方法產(chǎn)生的2FSK信號的波形基本相同,只有一點差異,即開關(guān)法產(chǎn)生的2FSK信號是分別由兩個獨立的頻率源產(chǎn)生不同頻率的信號,故相鄰碼元的相位不一定是連續(xù)的,如圖2.4所示。</p><p>  圖2.3 鍵控法

18、 圖2.4 相位不連續(xù) </p><p>  2.1.3 2FSK調(diào)制原理</p><p>  從“FSK基帶輸入”輸入的基帶信號分成兩路,一路經(jīng)過電壓比較器1得到同基帶信號極性相同的高/低電平,另一路經(jīng)過電壓比較器2得到同基帶信號極性相反的高/低電平,分別接至模擬開關(guān)電路1、2,因此當(dāng)基帶信號為“1”時,模擬開關(guān)1打開,模擬開關(guān)2關(guān)閉,輸出第一路載波(FSK載波輸入1);當(dāng)基帶信

19、號為“0”時,模擬開關(guān)1關(guān)閉,模擬開關(guān)2打開,此時輸出第二路載波(FSK載波輸入2),再通過疊加就得到FSK調(diào)制信號輸出。如圖2.5所示:</p><p>  圖2.5 2FSK調(diào)制原理</p><p>  調(diào)制波形示意圖如圖2.6所示:</p><p>  圖2.6 2FSK調(diào)制波形示意圖</p><p>  2.2 FSK的解調(diào)方法及原理

20、</p><p>  2FSK信號的解調(diào)有多種方法,如非相干檢測法、相干檢測法、鑒頻法、過零檢測法及差分檢波法,此處主要介紹相干和非相干解調(diào)兩類,其調(diào)制原理是將二進(jìn)制頻移鍵控信號分解為上下兩路二進(jìn)制振幅鍵控信號,分別進(jìn)行解調(diào),通過對上下兩路的抽樣值進(jìn)行比較最終判決出輸出信號,此時可以不專門設(shè)置門限電平。</p><p>  2.2.1 相干解調(diào)法</p><p> 

21、 2-FSK信號最常用的解調(diào)方法是相干檢測法,2PSK相干解調(diào)原理框圖和各點波形分別如圖2.1和圖2.2所示:</p><p>  圖2.7 相干檢測法原理框圖</p><p>  圖2.8 相干檢測法各點波形</p><p>  2.2.1 非相干解調(diào)法</p><p>  非相干法解調(diào)法(即包絡(luò)解調(diào)法),其方框圖如圖2.3所示。</

22、p><p>  圖2.9 2FSK的解調(diào)方法——非相干檢測法</p><p>  用兩個窄帶的分路濾波器分別濾出頻率為和的高頻脈沖,經(jīng)過包絡(luò)檢波后分別取出它們的包絡(luò)。把兩路輸出同時送到抽樣判決器進(jìn)行比較,從而判決輸出基帶數(shù)字信號。</p><p>  設(shè)頻率代表數(shù)字信號1;代表數(shù)字信號0,則抽樣判決器的判決準(zhǔn)則:</p><p>  式中x1和x

23、2分別為抽樣判決時刻兩個包絡(luò)檢波器的輸出值。這里的抽樣判決器,要比較x1、x2的大小,或者說把差值x1-x2與零電平比較。因此,有時稱這種比較判決器的判決電平為零電平。</p><p>  當(dāng)FSK信號為時,上支路相當(dāng)于接收“1”碼的情況,其輸出x1為正弦波加窄帶高斯噪聲的包絡(luò),服從萊斯分布。而下支路相當(dāng)于接收“0”碼的情況,輸出x2為窄帶高斯噪聲的包絡(luò),服從瑞利分布。如果FSK信號為,上、下支路的情況正好相反,

24、此時上支路輸出的瞬時值服從瑞利分布,下支路輸出的瞬時值服從萊斯分布。</p><p>  無論輸出的FSK信號是或,兩路輸出的判決準(zhǔn)則不變,因此可以判決出FSK信號。</p><p>  第三章 方案的設(shè)計及選擇</p><p>  3.1 設(shè)計的幾種2FSK數(shù)字調(diào)制解調(diào)方案</p><p><b>  方案一:</b>

25、</p><p>  采用Matlab軟件的編程功能,在其編程界面上編寫程序使其實現(xiàn)2FSK的調(diào)制與解調(diào)。在程序中使用圖形表示出原始信號、調(diào)制后的信號與解調(diào)后的信號,比較波形觀察2FSK的調(diào)制與解調(diào)的原理及特點。</p><p><b>  方案二:</b></p><p>  采用Matlab軟件的仿真功能,使用其中的Simlink仿真功能,

26、連接電路使其實現(xiàn)2FSK的調(diào)制與解調(diào),利用仿真軟件中示波器觀察原始信號、調(diào)制后的信號與解調(diào)后的信號,比較波形觀察2FSK的調(diào)制與解調(diào)的原理及特點。</p><p><b>  方案三:</b></p><p>  采用通用集成電路實現(xiàn),使用Mutisim 根據(jù)設(shè)計出來的電路進(jìn)行仿真,觀察仿真結(jié)果看是否符合要求。得出正確結(jié)果后焊接電路使其實現(xiàn)2FSK的調(diào)制與解調(diào),調(diào)試后

27、利用示波器觀察原始信號、調(diào)制后的信號與解調(diào)后的信號,比較波形觀察2FSK的調(diào)制與解調(diào)的原理及特點。</p><p><b>  方案四:</b></p><p>  采用基于FPGA芯片,采用VHDL語言,利用層次化、模塊化設(shè)計方法,實現(xiàn)2FSK的調(diào)制與解調(diào)。將程序下載到芯片當(dāng)中,是芯片實現(xiàn)2FSK的調(diào)制解調(diào)功能。利用示波器觀察原始信號、調(diào)制后的信號與解調(diào)后的信號,比

28、較波形觀察2FSK的調(diào)制與解調(diào)的原理及特點。</p><p>  3.2 方案的比較與選擇</p><p>  若使用Matlab軟件的編程與仿真軟件實現(xiàn)2FSK的調(diào)制與解調(diào)功能,可以是實現(xiàn)的方式更加方便,但是這兩種方法是基于軟件的使用無法實現(xiàn)硬件電路中通信系統(tǒng)的調(diào)制,這兩種方法更適合與觀察和了解2FSK的調(diào)制解調(diào)。而以往的鍵控移頻調(diào)制解調(diào)器采用“固定功能集成電路 +連線”方式設(shè)計;集成塊

29、多 ,連線復(fù)雜 ,容易出錯 ,且體積較大 ,本設(shè)計采用 FPGA芯片 , 采用VHDL語言,利用層次化、模塊化設(shè)計方法有效地縮小了系統(tǒng)的體積 ,降低了成本 ,增加了可靠性 ,同時系統(tǒng)采用VHDL 語言進(jìn)行設(shè)計 ,具有良好的可移植性及產(chǎn)品升級的系統(tǒng)性。</p><p>  第四章 基于FPGA的2FSK調(diào)制解調(diào)器的設(shè)計</p><p>  本實驗采用鍵控法來產(chǎn)生2FSK信號,主要基于以下3個

30、原因:</p><p>  1:QuartusⅡ所用的實驗板以數(shù)字信號為主,而鍵控法用VHDL語言和邏輯電路很容易實現(xiàn)。</p><p>  2:直接調(diào)頻法產(chǎn)生的移頻鍵控信號雖易于實現(xiàn),但由于是同一振蕩器產(chǎn)生兩個不同頻率的信號,在頻率變換的過渡點相位是連續(xù)的,其頻率穩(wěn)定度較差。而且這種方法產(chǎn)生的FSK信號頻移不能太大,否則振蕩不穩(wěn),甚至停振,因而實際應(yīng)用范圍不廣,僅適用于低速傳輸系統(tǒng)。&l

31、t;/p><p>  3:頻率鍵控法是用數(shù)字矩形脈沖控制電子開關(guān),使電子開關(guān)在兩個獨立的振蕩器之間進(jìn)行轉(zhuǎn)換,從而在輸出端得到不同頻率的已調(diào)信號。由于產(chǎn)生和載頻是由兩個獨立的振蕩器實現(xiàn),則輸出的2FSK信號的相位是不連續(xù)的。這種方法的特點是轉(zhuǎn)換速度快,波形好,頻率穩(wěn)定度高,電路不甚復(fù)雜,在實用中可以用一個頻率合成器代替兩個獨立的振蕩器,再經(jīng)分頻鏈,進(jìn)行不同的分頻,也可得到2FSK信號。</p><p

32、>  4.1 FSK調(diào)制與解調(diào)程序流程圖</p><p>  4.1.1 FSK調(diào)制程序流程圖</p><p>  FSK調(diào)制的核心部分包括分頻器、二選一選通開關(guān)等。設(shè)計中的兩個分頻器分別產(chǎn)生兩路數(shù)字載波信號; 二選一選通開關(guān)的作用是: 以基帶信號作為控制信號 , 當(dāng)基帶信號為“0”, 選通載波f1; 當(dāng)基帶信號為“1”時, 選通載波 f2。從選通開關(guān)輸出的信號就是數(shù)字 FSK信號

33、, 調(diào)制信號為數(shù)字信號。</p><p>  FSK調(diào)制流程方框圖如圖4.1所示, FSK解調(diào)電路符號如圖4.2所示。</p><p>  圖4.1 FSK調(diào)制流程圖</p><p>  圖4.2 FSK解調(diào)電路符號</p><p>  4.1.2 FSK解調(diào)程序流程圖</p><p>  程序中使用了過零檢測法,與其

34、他解調(diào)方法相比較,最明顯的特點就是結(jié)構(gòu)簡單,易于實現(xiàn),對增益起伏不敏感,特別適用于數(shù)字化實現(xiàn)。過零檢測法是一種經(jīng)濟(jì)、實用的最佳數(shù)字解調(diào)方法。利用信號波形在單位時間內(nèi)與零電平軸交義的次數(shù)來測定信號頻率。輸入的已調(diào)信號經(jīng)限幅放大后成為矩形脈沖波,再經(jīng)微分電路得到l圾向尖脈沖,然后整流得到單向尖脈沖,每個尖脈沖表示信號的一個過零點,尖脈沖的重復(fù)頻率就是信號頻率的2倍。將尖脈沖去觸發(fā)一單穩(wěn)態(tài)電路,產(chǎn)生一定寬度的矩形脈沖序列,該序列的平均分量與脈

35、沖重復(fù)頻率成正比,即與輸入頻率信號成正比。所以經(jīng)過低通濾波器輸出平均量的變化反映了輸入信號的變化,這樣就完成了頻率-幅度變換,把碼元“1”與“0”在幅度上區(qū)分開來,恢復(fù)出數(shù)字基帶信號。</p><p>  FSK解調(diào)的核心部分包括分頻器、判決起等。FSK解調(diào)方框圖如圖4.2所示, FSK解調(diào)電路符號如圖4.3所示。說明:圖中沒有包含模擬電路部分,調(diào)制信號為數(shù)字信號形式。</p><p> 

36、 圖4.2 FSK解調(diào)流程圖</p><p>  圖4.3 FSK解調(diào)電路符號</p><p>  4.2 FSK調(diào)制VHDL程序仿真圖及分析</p><p>  FSK調(diào)制VHDL程序仿真圖如圖4.1所示。</p><p>  (a)FSK調(diào)制VHDL程序仿真全圖</p><p> ?。╞)FSK調(diào)制VHDL程序

37、仿真局部放大圖</p><p>  圖4.1 FSK調(diào)制VHDL程序仿真圖</p><p>  注:a. 載波f1、f2分別是通過對clk的12分頻和2分頻得到的。</p><p>  b.基帶碼長為載波f1的2個周期,為載波f2的6個周期。</p><p>  c.輸出的調(diào)制信號y在時間上滯后于載波信號一個clk,滯后于系統(tǒng)時鐘2個clk

38、。</p><p>  波形分析:2FSK調(diào)制信號可以看成是兩路2ASK信號的疊加,start為開始調(diào)制信號,f1,f2為兩個頻率不同的載波,y為2FSK調(diào)制的已調(diào)波信號,x為二進(jìn)制數(shù)字基帶信號,由仿真波形圖可知,在時鐘信號的作用下,當(dāng)start為1時,基帶信號x開始對載波f1,f2進(jìn)行調(diào)制,y輸出相應(yīng)的已調(diào)波波形,當(dāng)start為0時,基帶信號x不對載波f1,f2進(jìn)行調(diào)制,y輸出呈現(xiàn)高阻狀態(tài),由圖可知,當(dāng)x為1,

39、二進(jìn)制基帶信號x對載波f2進(jìn)行調(diào)制,輸出波形y為基帶信號x對載波f2的調(diào)制波形,y的波形頻率與f2相同,當(dāng)x為0時,二進(jìn)制基帶信號x對載波f1進(jìn)行調(diào)制,輸出波形y為基帶信號x對載波f1的調(diào)制波形,y的波形頻率與f1相同,由此波形仿真圖可知,該設(shè)計實現(xiàn)了2FSK調(diào)制器的功能要求。</p><p>  4.3 FSK解調(diào)VHDL程序仿真圖及分析</p><p>  在解調(diào)器的設(shè)計中 , 已調(diào)信

40、號是連續(xù)的波形 ,有兩個不同的頻率 , 在 FPGA實驗平臺上 , 已調(diào)信號可以通過矩形脈沖來代替 , 在一定的時間內(nèi) ,通過檢測時鐘上升沿來確定輸入信號的頻率 , 從而判斷出基帶信號 。在本設(shè)計中 , 先設(shè)計一個同步信號 , 即當(dāng)同步信號 start為高電平時開始</p><p>  FSK解調(diào)VHDL程序仿真圖及注釋如圖4.4所示。</p><p> ?。╝)FSK解調(diào)VHDL程序仿真

41、全圖</p><p>  (b)FSK解調(diào)VHDL程序仿真局部放大圖</p><p>  圖4.4 FSK解調(diào)VHDL程序仿真圖及注釋</p><p>  注:a.在q=11時,m清零。</p><p>  b.在q=10時,根據(jù)m的大小,進(jìn)行對輸出基帶信號y的電平的判決。</p><p>  c.在q為其它值時,計

42、數(shù)器m計下xx(寄存x信號)的脈沖數(shù)。</p><p>  d.輸出信號y滯后輸入信號x 10個clk。</p><p>  波形分析:圖 4.4是依照圖4.2用 VHDL語言編寫解調(diào)程序得到的時序仿真圖。在仿真圖中 , clk是輸入的時鐘信號 , start信號為高電平 , 編輯輸入調(diào)制信號x, 通過時序仿真得出結(jié)果。從圖中可以看出, 輸出信號 y有延遲。計數(shù)器 q計數(shù)時鐘信號 clk的

43、上升沿 , m計數(shù)輸入調(diào)制信號的上升沿, 計數(shù)器 q計數(shù)到 11時清零, 若計數(shù)器 q為 10時, m 計數(shù)小于等于 3則判基帶信號為“0”, 否則判為“1”。</p><p><b>  4.4外圍硬件電路</b></p><p>  程序設(shè)計好后,需要一個產(chǎn)生方波的電路當(dāng)作電路的時鐘頻率,電路設(shè)計如下:</p><p><b> 

44、 圖4.5 方波電路</b></p><p>  第五章 2FSK調(diào)制解調(diào)的系統(tǒng)調(diào)試</p><p><b>  5.1 系統(tǒng)的連接</b></p><p>  利用QuartusII軟件中的頂層設(shè)計發(fā),將2FSK的調(diào)制解調(diào)連接在一起,使其實現(xiàn)全部功能。電路圖結(jié)果如下</p><p>  圖5.1

45、系統(tǒng)連接圖</p><p>  (1)本系統(tǒng)的FSK調(diào)制解調(diào)器功能已經(jīng)實觀,結(jié)果正確無誤,經(jīng)驗證滿足預(yù)期的設(shè)計指標(biāo)要求,且其整個工作過程可通過軟件波形仿真,或是實際硬件電路通過示波器來直觀、清晰觀察。</p><p>  (2)傳統(tǒng)的調(diào)制解調(diào)方式可以采用軟件與硬件結(jié)合的方式來實現(xiàn),符合未來通信技術(shù)發(fā)展的方向。</p><p>  5.2 調(diào)試過程及分析</p&

46、gt;<p>  將設(shè)計好的調(diào)制與解調(diào)兩個模塊連接起來就構(gòu)成了2FSK信號調(diào)制解調(diào)系統(tǒng),進(jìn)行仿真得到結(jié)果。整個系統(tǒng)的仿真結(jié)果與預(yù)計的波形無較大出入,可以斷定這個系統(tǒng)的工作是正常的,接下來將程序燒到EDA實驗板上,觀察波形,發(fā)現(xiàn)示波器波形與仿真結(jié)果一致,表明正確的實現(xiàn)了FSK的調(diào)制與解調(diào)。使用程序仿真時要注意,所給的調(diào)制信號的方波寬度要遠(yuǎn)大與兩個載波的頻率,這樣在解調(diào)時才會得到正確的解調(diào)效果。</p><

47、p><b>  第六章 結(jié)論</b></p><p>  整個設(shè)計使用 VHDL語言編寫 , 以 EP1K30100- 3為下載的目標(biāo)芯片 , 在 MAX + PLUSⅡ軟件平臺上進(jìn)行布局布線后進(jìn)行波形仿真 , 得到了正確的波形。結(jié)果正確無誤 , 經(jīng)驗證滿足預(yù)期的設(shè)計指標(biāo)要求 , 且其整個工作過程可通過軟件波形仿真 , 或是實際硬件電路通過示波器來直觀、清晰地觀察。傳統(tǒng)的 FSK調(diào)制

48、解調(diào)方式采用硬件電路實現(xiàn) , 電路復(fù)雜調(diào)試不便 , 采用 VHDL語言用FPGA來實現(xiàn)的調(diào)制解調(diào)方式 , 設(shè)計靈活、修改方便 , 有效地縮小了系統(tǒng)的體積 , 增加了可靠性 ,具有良好的可移植性及產(chǎn)品升級的系統(tǒng)性。</p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 陳華鴻. 頻移鍵控 (FSK)及其最新應(yīng)用 [J]. 現(xiàn)代計算機(jī) , 2000(9

49、): 36 - 39.</p><p>  [2] 樊昌信. 通信原理 [M]. 北京: 國防工業(yè)出版社, 2001.</p><p>  [3] 段吉海 , 黃智偉. 基于 CPLD/FPGA的數(shù)字通信系統(tǒng)建模與設(shè)計[M]. 北京: 電子工業(yè)出版社, 2004.</p><p>  [4] 徐慧 , 徐鋒. 2FSK信號產(chǎn)生器的 FPGA設(shè)計 [J]. 現(xiàn)代電子

50、技術(shù) , 2005(22): 60 - 61.</p><p>  [5] 尹常永. EDA技術(shù)與數(shù)字系統(tǒng)設(shè)計 [M ]. 西安: 西安電子科技大學(xué)出版社 , 2004.</p><p>  附錄一 解調(diào)調(diào)制程序</p><p>  FSK調(diào)制VHDL程序</p><p>  --文件名:PL_FSK</p><p>

51、  --功能:基于VHDL硬件描述語言,對基帶信號進(jìn)行FSK調(diào)制</p><p>  library ieee;</p><p>  use ieee.std_logic_arith.all;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigne

52、d.all;</p><p>  entity PL_FSK is</p><p>  port(clk :in std_logic; --系統(tǒng)時鐘</p><p>  start :in std_logic; --開始調(diào)制信號</p><p>  x :in std_logic;

53、 --基帶信號</p><p>  y :out std_logic); --調(diào)制信號</p><p>  end PL_FSK;</p><p>  architecture behav of PL_FSK is</p><p>  signal q1:integer range 0 to 11; -

54、-載波信號f1的分頻計數(shù)器</p><p>  signal q2:integer range 0 to 3; --載波信號f2的分頻計數(shù)器</p><p>  signal f1,f2:std_logic; --載波信號f1,f2</p><p><b>  begin</b></p><

55、;p>  process(clk) --此進(jìn)程通過對系統(tǒng)時鐘clk的分頻,得到載波f1</p><p><b>  begin</b></p><p>  if clk'event and clk='1' then </p><p>  if start='0'

56、; then q1<=0;</p><p>  elsif q1<=5 then f1<='1';q1<=q1+1; --改變q1后面的數(shù)字可以改變,載波f1的占空比</p><p>  elsif q1=11 then f1<='0';q1<=0; --改變q1后面的數(shù)字可以改變,載波f1的頻率</p>

57、<p>  else f1<='0';q1<=q1+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(clk)

58、 --此進(jìn)程通過對系統(tǒng)時鐘clk的分頻,得到載波f2</p><p><b>  begin</b></p><p>  if clk'event and clk='1' then</p><p>  if start='0' then q2<=0;</p

59、><p>  elsif q2<=0 then f2<='1';q2<=q2+1; --改變q2后面的數(shù)字可以改變,載波f2的占空比</p><p>  elsif q2=1 then f2<='0';q2<=0; --改變q2后面的數(shù)字可以改變,載波f2的頻率</p><p>  else f2&l

60、t;='0';q2<=q2+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(clk,x) --此

61、進(jìn)程完成對基帶信號的FSK調(diào)制</p><p><b>  begin</b></p><p>  if clk'event and clk='1' then </p><p>  if x='0' then y<=f1; --當(dāng)輸入的基帶信號x=‘0’時,輸出的調(diào)制信號y為f

62、1</p><p>  else y<=f2; --當(dāng)輸入的基帶信號x=‘1’時,輸出的調(diào)制信號y為f2</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;<

63、;/p><p>  end behav;</p><p>  FSK解調(diào)VHDL程序</p><p>  --文件名:PL_FSK2</p><p>  --功能:基于VHDL硬件描述語言,對FSK調(diào)制信號進(jìn)行解調(diào)</p><p>  --最后修改日期:2004.3.16</p><p>  lib

64、rary ieee;</p><p>  use ieee.std_logic_arith.all;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity PL_FSK2 is</p>

65、<p>  port(clk :in std_logic; --系統(tǒng)時鐘</p><p>  start :in std_logic; --同步信號</p><p>  x :in std_logic; --調(diào)制信號</p><p>  y :out

66、 std_logic); --基帶信號</p><p>  end PL_FSK2;</p><p>  architecture behav of PL_FSK2 is</p><p>  signal q:integer range 0 to 11; --分頻計數(shù)器</p><p>  signal

67、 xx:std_logic; --寄存器 </p><p>  signal m:integer range 0 to 5; --計數(shù)器</p><p><b>  begin</b></p><p>  process(clk) --對系統(tǒng)

68、時鐘進(jìn)行q分頻</p><p><b>  begin</b></p><p>  if clk'event and clk='1' then xx<=x; --在clk信上升沿時,x信號對中間信號xx賦值</p><p>  if start='0' then q<=0;

69、 --if語句完成Q的循環(huán)計數(shù)</p><p>  elsif q=11 then q<=0;</p><p>  else q<=q+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p>&l

70、t;p>  end process;</p><p>  process(xx,q) --此進(jìn)程完成FSK解調(diào)</p><p><b>  begin </b></p><p>  if q=11 then m<=0;--m計數(shù)器清零</p><p>  el

71、sif q=10 then </p><p>  if m<=3 then y<='0'; --if語句通過對m大小,來判決y輸出的電平</p><p>  else y<='1';</p><p><b>  end if;</b></p><

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論